[Moscow.pm] Область видимости и пакеты

Denis Evdokimov evdokimov.denis на gmail.com
Чт Фев 21 03:21:35 PST 2008


Не понимаю, как такое может быть

package test1;
my $test;

package test2;
my $test;


Выдаёт предупреждение
"my" variable $test masks earlier declaration in same scope at
/package_test.pl line 7.


Подробная информация о списке рассылки Moscow-pm